Select to view content in your preferred language

Unable to Connect to AGOL

117
1
Sunday
DJB
by
New Contributor III

I have a python script that connects to "https://www.arcgis.com".  Up until last week was working fine.  I was just using: 

gis = GIS("https://www.arcgis.com", username, password)

Now when I run my script I get the following errors.

# Create GIS object
print("Connecting to AGOL")
gis = GIS("https://www.arcgis.com", username, password)
Connecting to AGOL
Traceback (most recent call last):
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\ssl.py", line 742, in verify_mode
    super(SSLContext, SSLContext).verify_mode.__set__(self, value)
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\ssl.py", line 742, in verify_mode
    super(SSLContext, SSLContext).verify_mode.__set__(self, value)
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\ssl.py", line 742, in verify_mode
    super(SSLContext, SSLContext).verify_mode.__set__(self, value)
  [Previous line repeated 997 more times]
RecursionError: maximum recursion depth exceeded while calling a Python object

During handling of the above exception, another exception occurred:

Traceback (most recent call last):
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\ssl.py", line 742, in verify_mode
    super(SSLContext, SSLContext).verify_mode.__set__(self, value)
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\ssl.py", line 742, in verify_mode
    super(SSLContext, SSLContext).verify_mode.__set__(self, value)
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\ssl.py", line 742, in verify_mode
    super(SSLContext, SSLContext).verify_mode.__set__(self, value)
  [Previous line repeated 997 more times]
RecursionError: maximum recursion depth exceeded while calling a Python object

During handling of the above exception, another exception occurred:

Traceback (most recent call last):
  File "<string>", line 3, in <module>
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\site-packages\arcgis\gis\__init__.py", line 637, in __init__
    raise e
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\site-packages\arcgis\gis\__init__.py", line 576, in __init__
    self._portal = _portalpy.Portal(
                   ^^^^^^^^^^^^^^^^^
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\site-packages\arcgis\gis\_impl\_portalpy.py", line 237, in __init__
    self.get_properties(True)
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\site-packages\arcgis\gis\_impl\_portalpy.py", line 1312, in get_properties
    raise e
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\site-packages\arcgis\gis\_impl\_portalpy.py", line 1292, in get_properties
    resp = self.con.post(path, self._postdata(), ssl=True)
           ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
  File "C:\Users\MyName\AppData\Local\ESRI\conda\envs\arcgispro-py3-clone\Lib\site-packages\arcgis\gis\_impl\_con\_connection.py", line 1517, in post
    raise Exception("A general error occurred: %s" % e)
Exception: A general error occurred: maximum recursion depth exceeded while calling a Python object

I'm not entirely sure what could have changed that I now get this error.

Any suggestion would be greatly appreciated.

Thank you.

1 Reply
ericsamson_tract
New Contributor II

Tagging to say I am receiving the same issue

0 Kudos